Chemical vapor deposition (commonly known as CVD) is an extremely popular way to deposit layers in integrated circuit manufacturing. In the CVD process, chemical reactions at the surface of a wafer (i.e. silicon) result in the deposition of a material. The chemical reactants are gaseous (hence the term vapor) and the reactions take place in a furnace, in which temperature can be carefully controlled. Semiconductors, dielectrics, and some metals can be deposited by CVD.

The CVD process is controlled by two factors--the diffusion of gases to wafer surfaces and the reaction of the gases on the wafer surfaces. Typically the CVD process is constructed such that deposition is limited by only one of the two factors, and is independent of the other. The mathematics of gaseous diffusion and chemical reactivity in furnaces are very difficult and not fully understood. Therefore it is critical for integrated circuit manufacturers to develop standard, repeatable recipes (gas flows, temperatures, deposition time, etc.) and avoid deviating from them.

APCVD

The simplest CVD processes occur at atmospheric pressure and are limited by the chemical-reaction rate. These processes take place at low temperatures (240 to 450 °C). Atmospheric-pressure CVD (APCVD) processes require careful control of temperature across the furnace, since the rate of chemical reactions is an Arrhenius function, which is an exponential function of the inverse of temperature. The advantages of APCVD are its simplicity and high deposition rates, which can be higher than 1000 Angstroms per minute). The most critical disadvantage is that the high volumes of gas in the furnace can react in the gas phase, forming particles. Particles formed by chemical reactions away from the wafer surfaces are very undesirable since they coat the wafers nonuniformally. Because of the nonuniformity inherent in APCVD processes, they are usually used only for deposition of thick dielectrics, in which uniformity is not critical.

LPCVD

For better uniformity, low-pressure CVD (LPCVD) is used. Deposition rate in an LPCVD process is limited by gaseous diffusion. For uniformity, it is critical to have uniform gas flow to all wafers in an LPCVD furnace. LPCVD typically occurs at much higher temperatures (up to 1000 °C) than APCVD. Polysilicon and most dielectrics are deposited by LPCVD. Typical LPCVD process pressures are from 0.1 to 1.0 torr. LPCVD furnaces require vacuum pumps to create low gas volumes.

PECVD

Sometimes it is necessary to deposit films at low wafer temperatures. For instance, one might wish to deposit a dielectric on top of aluminum, which will melt at high temperature. Another concern could be the diffusion of dopants that occurs in the wafer at high temperature. Plasma-enhanced CVD (PECVD) processes use the high energies of ions created in a plasma, instead of high temperature, to stimulate chemical reactions.

General CVD considerations

When choosing a method of material deposition, IC manufacturers must consider many issues. I have already mentioned some--temperature, deposition rate, uniformity, and repeatability. There are several others that I will briefly mention.

An important concern is stresses in CVD films. These stresses are created because different materials have different coefficients of thermal expansion. Since CVD occurs at high temperature, and after deposition the wafer is brought back to room temperature, deposited films will generally have either tensile or compressive stresses imparted by the material underneath them. These stresses can cause cracking or delamination. The stresses often alter the properties of the material. In some cases, such as strained silicon-germanium (a material with high mobility that improves MOSFET performance), the new properties are advantageous, but usually stresses are necessary evils.

The conformality (or lack of) of deposited films is another critical issue. Conformality describes how well a deposited film fills holes and covers steps on a nonplanar wafer. These holes and steps are the results of previous lithographic steps. CVD is far more conformal than sputtering and evaporation since it uses gaseous reactants. Conformality is almost always a desired deposition property, which is one reason CVD is so popular. Thick CVD layers can be used to planarize the surface of a wafer. Subsequent etching or chemical mechanical polishing can reduce the thick layer, resulting in a planarized thin film. This is a useful trick for IC manufacturers who want planarized wafers for better control of lithography.

Log in or register to write something here or to contact authors.